Welcome![Sign In][Sign Up]
Location:
Search - ps2 ip

Search list

[Other resourcePS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA
Platform: | Size: 27393 | Author: nanotalk | Hits:

[Other resourceps2_keyboard

Description: 这是一个使用ps2 ip core的范例,读取键盘输入并显示
Platform: | Size: 3834 | Author: HuFengzhang | Hits:

[Other resourcealtera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!
Platform: | Size: 27670 | Author: 王乔 | Hits:

[VHDL-FPGA-VerilogPS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA-A PS2 IP CORE (VHDL) for FPGA
Platform: | Size: 26624 | Author: nanotalk | Hits:

[Other Embeded programps2_keyboard

Description: 这是一个使用ps2 ip core的范例,读取键盘输入并显示-This is a used ps2 ip core example, to read keyboard input and display
Platform: | Size: 147456 | Author: HuFengzhang | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!-Spent a good two weeks we have made some changes Atera DE1/DE2 ps2 IP-driven nuclear. On the FPGA project directory can be used directly. The IP to drive PS/2 keyboard and mouse. When used as long as the call HAL directory file that can be used directly!
Platform: | Size: 27648 | Author: 王乔 | Hits:

[VHDL-FPGA-VerilogPS2

Description: vhdl 写得ps2 ip vhdl 写得ps2 ip-vhdl ps2 vhdl ps2 vhdl ps2 vhdl ps2 vhdl ps2
Platform: | Size: 2048 | Author: gaoxian | Hits:

[VHDL-FPGA-VerilogPS2_IP_CORE

Description: 该IP核是一个ps2键盘的源代码(vhdl语言)-The IP core is a ps2 keyboard source code (vhdl language)
Platform: | Size: 26624 | Author: liushui | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: Altera公布的大学计划中基于VHDL的ps2的IP核!-Altera University Program announced VHDL-based IP core of the ps2!
Platform: | Size: 212992 | Author: 兔子 | Hits:

[VHDL-FPGA-Verilogmouse_ps2

Description: 基于FPGA的鼠标驱动程序,该鼠标基于PS2协议,可以做成IP核,用于嵌入式当中。-FPGA-based mouse driver, the mouse protocol based on the PS2 can be made IP cores for embedded them.
Platform: | Size: 1024 | Author: wendy | Hits:

[VHDL-FPGA-VerilogUP_IP_Library_80

Description: altera大学IP库,包含ps2、sdram、rs232等-altera University, IP libraries, including the ps2, sdram, rs232, etc.
Platform: | Size: 5476352 | Author: wcm | Hits:

[VHDL-FPGA-VerilogLCD_PS2

Description: DE2的鼠标IP核的完整套件。使用altera_up_avalon_ps2。有lcd-Mouse IP core DE2 complete package. Use altera_up_avalon_ps2. There lcd
Platform: | Size: 13129728 | Author: 海到无涯 | Hits:

[VHDL-FPGA-Verilogfreedev_ps2

Description: 自由电子科技的PS2键盘的avalon外设ip core-Free electronic technology avalon PS2 keyboard peripheral ip core
Platform: | Size: 1048576 | Author: 周勇 | Hits:

[Windows DevelopTPS2_IP_COREh

Description: 该IP核是一个ps2键盘的源代代码(vhdl语言)可直接使用。 -The the IP nuclear yes to the a ps2-keyboard of the source on behalf of code (vhdl language) can be used directly.
Platform: | Size: 26624 | Author: | Hits:

[Embeded-SCM Developshujucaijiqi

Description: 基于LPC2214开发的数据采集器,包括BSP部分、鼠标PS2接口部分、屏驱动代码RA8820部分、FLASH SST39VF160部分 网络部分TCP/IP部分,挺好的东西。-Based the LPC2214 development data acquisition, including partial the BSP part, the mouse PS2 interface part, the screen drive code RA8820 part, FLASH SST39VF160 part of the network TCP/IP part, very good things.
Platform: | Size: 1376256 | Author: 马激伟 | Hits:

CodeBus www.codebus.net